Hello Guest

Sign In / Register
Български език
EnglishDeutschItaliaFrançais한국의русскийSvenskaNederlandespañolPortuguêspolskiSuomiGaeilgeSlovenskáSlovenijaČeštinaMelayuMagyarországHrvatskaDanskromânescIndonesiaΕλλάδαБългарски езикGalegolietuviųMaoriRepublika e ShqipërisëالعربيةአማርኛAzərbaycanEesti VabariikEuskera‎БеларусьLëtzebuergeschAyitiAfrikaansBosnaíslenskaCambodiaမြန်မာМонголулсМакедонскиmalaɡasʲພາສາລາວKurdîსაქართველოIsiXhosaفارسیisiZuluPilipinoසිංහලTürk diliTiếng ViệtहिंदीТоҷикӣاردوภาษาไทยO'zbekKongeriketবাংলা ভাষারChicheŵaSamoaSesothoCрпскиKiswahiliУкраїнаनेपालीעִבְרִיתپښتوКыргыз тилиҚазақшаCatalàCorsaLatviešuHausaગુજરાતીಕನ್ನಡkannaḍaमराठी
У дома > Новини > EUV конкуренцията се разраства към периферно полупроводниково оборудване

EUV конкуренцията се разраства към периферно полупроводниково оборудване

Заобикаляйки новото поколение технология за производство на полупроводници „EUV (Extreme Ultraviolet Light)“, конкуренцията между фирмите за оборудване се засили. Tokyo Electronics ще инвестира рекордно високи разходи за развитие през фискалната 2020 година (към март 2021 г.), а книгата за поръчки на Lasertec се е удвоила през последната година. На пазара на оборудване, свързано с EUV, холандският ASML монополизира основната машина за литография, но в областта на инспекцията и източника на светлина присъствието на японските компании също се подобрява.

Тошики Кавай, президент на Tokyo Electronics, третият най-голям производител на оборудване за производство на полупроводници, заяви: „Ако EUV бъде популяризиран, търсенето на оборудване от по-висок клас ще се увеличи“. През фискалната 2020 г. тя ще инвестира рекордно високите 135 милиарда йени в разходи за изследвания и развитие. ,


Предимството на Tokyo Electronics е „Coater Developer“. Това оборудване се използва за покриване на специална химическа течност върху силициева вафла като полупроводников материал за нейното разработване. В областта на оборудването за масово производство на EUV пазарният дял на компанията е 100%. Очаква се консолидираните продажби за тази фискална година да достигнат 1,28 трилиона йени. Повече от 10% от това ще бъдат използвани за научни изследвания и разработки за укрепване на водещото предимство в етапа на популяризиране на EUV.

На пазара за производство на полупроводници за производство на повече от 6 трилиона йени годишно се случват промени в поколенията.

Колкото по-фина е ширината на линията на полупроводника, толкова по-висока е производителността и текущият авангарден продукт е 5 нанометра. За да се прехвърли такава тънка верига в силиконова вафла, EUV литографската машина е незаменима. С нарастващото предлагане на ASML, единственият в света масово произведен EUV метод, също започна конкуренция за развитие, включваща периферно оборудване като покритие и източници на светлина.

Символът на промяната в поколенията е производителят на изпитвателното оборудване Lasertec. Ако има дефекти във фотомаската като оригиналната платка, скоростта на дефекта на полупроводника съответно ще се увеличи. Компанията произвежда тестово оборудване, което поддържа EUV, а поръчките му от юли 2019 г. до март 2020 г. са се увеличили 2,2 пъти спрямо същия период на миналата година, достигайки 65,8 милиарда йени. Очаква се две трети от годишните поръчки да са свързани с EUV.

Освен това се водят и ожесточени конфронтации между японските компании. В областта на машините за писане на електронни лъчи, NuFlare технологията на Toshiba догонва съюза между JEOL и IMS NANOFABRICATION (Австрия). Акцентът е върху развитието на технологията "Multi-Beam", използваща 260 000 лазерни лъча.

През януари Toshiba отблъсна HOYA, която инициира враждебна TOB (оферта за обществени поръчки) и затвърди контрола си над Niu Fulai. 25 новоизпратени техници за развитие и др. Планират да доставят оборудване за литография от следващо поколение, поддържащо EUV до 2020 г.

Gigaphoton (намира се в град Ояма, префектура Точиги), филиал на Komatsu, който произвежда лазерни източници, очаква с нетърпение завръщане. Преди появата на EUV компанията стана една от първите две в областта на източниците на светлина за литографски машини. Поради причини, като например придобиването на конкурент от ASML, в момента той губи присъствието си. Gigaphoton се стреми да разработи компоненти с висок изходен източник на светлина, преди ASML да пусне оборудване от следващо поколение EUV, за да си върне пазарния дял.

Фонът за компаниите да ускорят разработването на EUV оборудване е конкурсът за миниатюризация, стартиран от южнокорейските Samsung Electronics и TSMC. Търсенето на високопроизводителни полупроводници като 5G е силно и двете компании се състезават за всяка машина за литография на ASML на стойност над 20 милиарда йени. В този процес бизнес възможностите за околните компании за производствено оборудване също се разширяват.

Статистическите данни на Международната асоциация за полупроводниково оборудване и материали (SEMI) и Асоциацията за производство на полупроводникови производствени съоръжения на Япония (SEAJ) показват, че пазарният дял на оборудването за производство на полупроводници, произведено в Япония, е 31,3% през 2019 г., което се е колебало около 30% в миналото 20 години.

В областта на литографското оборудване по-рано Nikon и Canon бяха обект на световния пазар, но не успяха в конкуренцията с ASML и изоставаха в развитието на EUV. В полупроводниковото поле, тъй като производственият процес става труден, тенденцията за поемане на всички се увеличава. Промяната в поколенията, която възлага EUV като възможност, също ще ускори оцеляването на най-добрите в компаниите за оборудване.

„Инвестицията в най-модерното оборудване на континенталния пазар е преустановена“, въздъхна ръководителят на компании, свързани с EUV за части и компоненти. Тъй като нидерландското правителство не го одобри, ASML не успя да експортира литографски машини EUV в континенталната част. Освен това се спира и закупуването на периферно оборудване и части.

Между Китай и САЩ има задръжка на търговски търкания. Ако ASML оборудването не може да бъде внесено, производителите на континентални полупроводници ще изостанат в конкуренцията за миниатюризация. Правителството на континента поставя целта, че степента на самодостатъчност на полупроводниците ще достигне 40% до 2020 г. и 70% до 2025 г., но това е трудно постижимо. Много мнения смятат, че САЩ оказват натиск върху нидерландското правителство да го използва като оръжие на санкции.

Статистическите данни на Международната асоциация за полупроводникови съоръжения и материали показват, че пазарът на оборудване за производство на полупроводници през 2019 г. е бил 59,7 милиарда щатски долара, което е увеличение с 59% спрямо 2014 г. През този период континенталният пазар е увеличил присъствието си и своя дял от световния пазар общият пазар се е увеличил от 11,6% през 2014 г. на 22,5%. За японските компании за производство на полупроводникови съоръжения континенталната част се превърна в пазар, който е трудно да се игнорира.

Развитието на технологиите на EUV е трудно, а разходите за НИРД на всички компании се увеличават. Ако пазарът вече не се разширява, възвръщаемостта на инвестициите на предприятията ще се забави и развитието на нови технологии може да бъде трудно да се постигне напредък.